Everipedia Logo
Everipedia is now IQ.wiki - Join the IQ Brainlist and our Discord for early access to editing on the new platform and to participate in the beta testing.
Itanium

Itanium

Itanium (/aɪˈteɪniəm/ eye-TAY-nee-əm) is a family of 64-bit Intel microprocessors that implement the Intel Itanium architecture (formerly called IA-64). Intel markets the processors for enterprise servers and high-performance computing systems. The Itanium architecture originated at Hewlett-Packard (HP), and was later jointly developed by HP and Intel.

Itanium-based systems have been produced by HP (the HP Integrity Servers line) and several other manufacturers. In 2008, Itanium was the fourth-most deployed microprocessor architecture for enterprise-class systems, behind x86-64, Power ISA, and SPARC.[2]

In February 2017, Intel released the current generation, Kittson, to test customers, and in May began shipping in volume.[3] It is the last processor of the Itanium family.[4][5]

Intel officially announced the end of life and product discontinuance of the Itanium CPU family on January 30th, 2019.[1]

ItaniumItanium (Merced)Itanium 2 (McKinley)
ProducedFrom June 2001 to
July 2021[1]
Common manufacturer(s)
  • Intel
Max. CPU clock rate733 MHz to 2.66 GHz
FSB speeds300 MHz to 6.4 GT/s
Instruction setItanium
Cores1, 2, 4 or 8
KL Intel Itanium ES.jpg
Itanium processor
ProducedFrom June 2001 to June 2002
Common manufacturer(s)
  • Intel
Max. CPU clock rate733 MHz to 800 MHz
FSB speeds266 MT/s
Instruction setItanium
Cores1
Core name(s)
  • Merced
L2 cache96 KB
L3 cache2 or 4 MB
Socket(s)
  • PAC418
ProducedFrom 2002 to 2010
Designed byIntel
Common manufacturer(s)
  • Intel
Max. CPU clock rate900 MHz to 2.53 GHz
Instruction setItanium
Cores1, 2, 4 or 8
Core name(s)
  • McKinley
  • Madison
  • Hondo
  • Deerfield
  • Montecito
  • Montvale
  • Tukwila
  • Poulson
L2 cache256 KB on Itanium2
256 KB (D) + 1 MB(I) or 512 KB (I) on (Itanium2 9x00 series)
L3 cache1.5-32 MB
Socket(s)
  • PAC611
  • FC-LGA6 (LGA1248) (Itanium 9300 series)

History

Development: 1989–2000

Itanium Server Sales forecast history

Itanium Server Sales forecast history[21][22]

In 1989, HP determined that Reduced Instruction Set Computing (RISC) architectures were approaching a processing limit at one instruction per cycle. HP researchers investigated a new architecture, later named Explicitly Parallel Instruction Computing (EPIC), that allows the processor to execute multiple instructions in each clock cycle. EPIC implements a form of very long instruction word (VLIW) architecture, in which a single instruction word contains multiple instructions. With EPIC, the compiler determines in advance which instructions can be executed at the same time, so the microprocessor simply executes the instructions and does not need elaborate mechanisms to determine which instructions to execute in parallel.[6] The goal of this approach is twofold: to enable deeper inspection of the code at compile time to identify additional opportunities for parallel execution, and to simplify processor design and reduce energy consumption by eliminating the need for runtime scheduling circuitry.

HP believed that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors, so it partnered with Intel in 1994 to develop the IA-64 architecture, derived from EPIC. Intel was willing to undertake a very large development effort on IA-64 in the expectation that the resulting microprocessor would be used by the majority of enterprise systems manufacturers. HP and Intel initiated a large joint development effort with a goal of delivering the first product, Merced, in 1998.[6]

During development, Intel, HP, and industry analysts predicted that IA-64 would dominate in servers, workstations, and high-end desktops, and eventually supplant RISC and complex instruction set computing (CISC) architectures for all general-purpose applications.[7][8] Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[9]

Several groups ported operating systems for the architecture, including Microsoft Windows, OpenVMS, Linux, HP-UX, Solaris,[10][11][12] Tru64 UNIX,[9] and Monterey/64.[13] The latter three were canceled before reaching the market. By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery timeframe of Merced began slipping.[14]

Intel announced the official name of the processor, Itanium, on October 4, 1999.[15]

Within hours, the name Itanic had been coined on a Usenet newsgroup, a reference to the RMS Titanic, the "unsinkable" ocean liner that sank on her maiden voyage in 1912.[16] "Itanic" has since often been used by The Register,[17] and others,[18][19][20] to imply that the multibillion-dollar investment in Itanium—and the early hype associated with it—would be followed by its relatively quick demise.

Itanium (Merced): 2001

By the time Itanium was released in June 2001, its performance was not superior to competing RISC and CISC processors.[23] Itanium competed at the low-end (primarily four-CPU and smaller systems) with servers based on x86 processors, and at the high-end with IBM POWER and Sun Microsystems SPARC processors. Intel repositioned Itanium to focus on high-end business and HPC computing, attempting to duplicate x86's successful "horizontal" market (i.e., single architecture, multiple systems vendors). The success of this initial processor version was limited to replacing PA-RISC in HP systems, Alpha in Compaq systems and MIPS in SGI systems, though IBM also delivered a supercomputer based on this processor.[24] POWER and SPARC remained strong, while the 32-bit x86 architecture continued to grow into the enterprise space, building on economies of scale fueled by its enormous installed base.

Only a few thousand systems using the original Merced Itanium processor were sold, due to relatively poor performance, high cost and limited software availability.[25] Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to market a year later.

Itanium 2: 2002–2010

Intel Itanium 2 9000 (Heat spreader removed)

Intel Itanium 2 9000 (Heat spreader removed)

The Itanium 2 processor was released in 2002, and was marketed for enterprise servers rather than for the whole gamut of high-end computing. The first Itanium 2, code-named McKinley, was jointly developed by HP and Intel. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem. McKinley contains 221 million transistors (of which 25 million are for logic), measured 19.5 mm by 21.6 mm (421 mm2) and was fabricated in a 180 nm, bulk CMOS process with six layers of aluminium metallization.[26]

In 2003, AMD released the Opteron CPU, which implements its own 64-bit architecture called AMD64. Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Under influence by Microsoft, Intel responded by implementing AMD's x86-64 instruction set architecture instead of IA-64 in its Xeon microprocessors in 2004, resulting in a new industry-wide de facto standard.[9]

Intel released a new Itanium 2 family member, codenamed Madison, in 2003. Madison used a 130 nm process and was the basis of all new Itanium processors until Montecito was released in June 2006.

In March 2005, Intel announced that it was working on a new Itanium processor, codenamed Tukwila, to be released in 2007. Tukwila would have four processor cores and would replace the Itanium bus with a new Common System Interface, which would also be used by a new Xeon processor.[27] Later that year, Intel revised Tukwila's delivery date to late 2008.[28]

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate software porting.[29] The Alliance announced that its members would invest $10 billion in Itanium solutions by the end of the decade.[30]

In 2006, Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor that roughly doubled performance and decreased energy consumption by about 20 percent.[31]

Intel released the Itanium 2 9100 series, codenamed Montvale, in November 2007.[32] In May 2009, the schedule for Tukwila, its follow-on, was revised again, with release to OEMs planned for the first quarter of 2010.[33]

Itanium 9300 (Tukwila): 2010

Intel Itanium 9300 CPU

Intel Itanium 9300 CPU

Intel Itanium 9300 CPU LGA

Intel Itanium 9300 CPU LGA

Intel Itanium 9300 Socket Intel LGA 1248

Intel Itanium 9300 Socket Intel LGA 1248

Intel Itanium 9300 with cap removed

Intel Itanium 9300 with cap removed

The Itanium 9300 series processor, codenamed Tukwila, was released on February 8, 2010, with greater performance and memory capacity.[34]

The device uses a 65 nm process, includes two to four cores, up to 24 MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction, which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel processors using the Nehalem microarchitecture, which possibly enabled Tukwila and Nehalem to use the same chipsets.[35] Tukwila incorporates four memory controllers, each of which supports multiple DDR3 DIMMs via a separate memory controller,[36] much like the Nehalem-based Xeon processor code-named Beckton.[37]

Itanium 9500 (Poulson): 2012

The Itanium 9500 series processor, codenamed Poulson, is the follow-on processor to Tukwila and was released on November 8, 2012.[38] According to Intel, it skips the 45 nm process technology and uses a 32 nm process technology. It features eight cores and has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[35][39][40] The Poulson L3 cache size is 32 MB. L2 cache size is 6 MB, 512 I KB, 256 D KB per core.[41] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[42][43]

At ISSCC 2011, Intel presented a paper called "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[41][44] Given Intel's history of disclosing details about Itanium microprocessors at ISSCC, this paper most likely referred to Poulson. Analyst David Kanter speculated that Poulson would use a new microarchitecture, with a more advanced form of multithreading that uses up to two threads, to improve performance for single threaded and multithreaded workloads.[45] Some information was also released at the Hot Chips conference.[46][47]

Information presented improvements in multithreading, resiliency improvements (Intel Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, and data access hints).

Intel's Product Change Notification (PCN) 111456-01 lists four models of Itanium 9500 series CPU, which was later removed in a revised document.[48] The parts were later listed in Intel's Material Declaration Data Sheets (MDDS) database.[49] Intel later posted Itanium 9500 reference manual.[50]

The models are the following:[48][51]

Processor numberFrequencyCache
95201.73 GHz20MB
95402.13 GHz24MB
95502.40 GHz32MB
95602.53 GHz32MB

HP vs. Oracle

During the 2012 Hewlett-Packard Co. v. Oracle Corp. support lawsuit, court documents unsealed by a Santa Clara County Court judge revealed that in 2008, Hewlett-Packard had paid Intel around $440 million to keep producing and updating Itanium microprocessors from 2009 to 2014. In 2010, the two companies signed another $250 million deal, which obliged Intel to continue making Itanium CPUs for HP's machines until 2017. Under the terms of the agreements, HP has to pay for chips it gets from Intel, while Intel launches Tukwila, Poulson, Kittson, and Kittson+ chips in a bid to gradually boost performance of the platform.[52][53]

Itanium 9700 (Kittson): 2017

Rumors of a successor to Poulson (code named Kittson) began to circulate in 2012–2013. This was at first associated with a forthcoming 22 nm process shrink, and later revised in the face of declining Itanium sales to a less-ambitious 32 nm node.[54] In April 2015, Intel, although it had not yet confirmed formal specifications, did confirm that it continued to work on the project.[55] Meanwhile, the aggressively multicore Xeon E7 platform displaced Itanium-based solutions in the Intel roadmap.[56]

In July 2016, the HP spin-off Hewlett Packard Enterprise (HPE) announced in Computer World that Kittson would be released mid-2017.[57][58] In February 2017, Intel reported that it was shipping Kittson to test customers, with plans to ship in volume later that year.[59]

Intel officially launched the Itanium 9700 series processor family on May 11, 2017.[60][3] Notably, Kittson has no microarchitecture improvements over Poulson, only higher clock speeds.[61]

Intel has announced that the 9700 series will be the last Itanium chips produced.[4][5]

The models are:[62]

Processor numberCoresThreadsFrequencyCache
97204081.73 GHz20MB
97408162.13 GHz24MB
97504082.53 GHz32MB
97608162.66 GHz32MB

Market share

In comparison with its Xeon family of server processors, Itanium has never been a high-volume product for Intel. Intel does not release production numbers. One industry analyst estimated that the production rate was 200,000 processors per year in 2007.[63]

According to Gartner Inc., the total number of Itanium servers (not processors) sold by all vendors in 2007, was about 55,000. (It is unclear whether clustered servers counted as a single server or not.) This compares with 417,000 RISC servers (spread across all RISC vendors) and 8.4 million x86 servers. IDC reports that a total of 184,000 Itanium-based systems were sold from 2001 through 2007. For the combined POWER/SPARC/Itanium systems market, IDC reports that POWER captured 42% of revenue and SPARC captured 32%, while Itanium-based system revenue reached 26% in the second quarter of 2008.[64] According to an IDC analyst, in 2007, HP accounted for perhaps 80% of Itanium systems revenue.[31] According to Gartner, in 2008, HP accounted for 95% of Itanium sales.[65] HP's Itanium system sales were at an annual rate of $4.4Bn at the end of 2008, and declined to $3.5Bn by the end of 2009,[66] compared to a 35% decline in UNIX system revenue for Sun and an 11% drop for IBM, with an x86-64 server revenue increase of 14% during this period.

In December 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annual shipment of 26,000 systems (a decline of over 50% compared to shipments in 2008).[67]

Hardware support

Systems

Server manufacturers' Itanium products
CompanyLatest product
namefromtonameCPUs
HP/HPE2001presentIntegrity1–256
Compaq20012001ProLiant 5901–4
IBM20012005x4551–16
Dell20012005PowerEdge 72501–4
Hitachi20012008BladeSymphony
1000
1–8
Unisys20022009ES7000/one1–32
SGI20012011Altix 40001–2048
Fujitsu20052011PRIMEQUEST1–32
Bull2002pre-2015NovaScale 94101–32
NEC20022012nx7700i1–256
Inspur2010pre-2015TS100002–1024
Huawei2012pre-2015????????

By 2006, HP manufactured at least 80% of all Itanium systems, and sold 7,200 in the first quarter of 2006.[68] The bulk of systems sold were enterprise servers and machines for large-scale technical computing, with an average selling price per system in excess of US$200,000. A typical system uses eight or more Itanium processors.

By 2012, only a few manufacturers offered Itanium systems, including HP, Bull, NEC, Inspur and Huawei. In addition, Intel offered a chassis that could be used by system integrators to build Itanium systems.[69]

By 2015, only HP supplied Itanium-based systems.[55] With HP split in late 2015, Itanium systems (branded as Integrity) are handled by Hewlett-Packard Enterprise (HPE), with recent major update in 2017 (Integrity i6, and HP-UX 11i v3 Update 16). HPE also supports a few other operating systems, including Windows up to Server 2008 R2, Linux, OpenVMS and NonStop. Itanium is not affected by Spectre and Meltdown.[70]

Chipsets

The Itanium bus interfaces to the rest of the system via a chipset. Enterprise server manufacturers differentiate their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. The chipset is the heart of the system-level architecture for each system design. Development of a chipset costs tens of millions of dollars and represents a major commitment to the use of the Itanium. IBM created a chipset in 2003, and Intel in 2002, but neither of them developed chipsets to support newer technologies such as DDR2 or PCI Express.[71] Before "Tukwila" moved away from the FSB, chipsets supporting such technologies were manufactured by all Itanium server vendors, such as HP, Fujitsu, SGI, NEC, and Hitachi.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel's Xeon processor designed for four processor and larger servers). The goal was to streamline system development and reduce costs for server OEMs, many of which develop both Itanium- and Xeon-based servers. However, in 2013, this goal was pushed back to "evaluated for future implementation opportunities".[72]

Software support

Itanium is or was supported (i.e. Windows version can no longer be bought) by the following operating systems:

  • HP-UX 11i; an Intel 64 (x86-64) port was proposed, but later canceled.[73]

  • Windows family Windows XP 64-Bit Edition (Unsupported; first Windows edition to support) Windows Server 2003 (Unsupported) Windows Server 2008 (Extended support until January 14, 2020.[74] Extended support will only receive bug fixes and no new features, including support for future CPUs. This is the last version of Windows still with support for Itanium. Windows Server 2008 and Server 2008 R2 got a security update in middle of 2018.[75]) Windows Server 2008 R2 (This is the last version of Windows to support Itanium.[76])

  • Linux distributions

    Gentoo[77] SUSE's SLES (unsupported as of SLES 12; supported by SLES 11 SP4[78])

    TurboLinux (was the first Linux to support with version 7 in 2001[79])

  • FreeBSD[80][81] (unsupported; was supported in 10.4[82] (to October 2018 EOL) as: "Tier 2 through FreeBSD 10. Unsupported after."[83])

  • NetBSD (development branch only, but "no formal release is available".[84])

  • OpenVMS I64 (to 2020[85]); an Intel 64 (x86-64) port is being developed.[86]

  • NonStop OS; an Intel 64 (x86-64) port was developed[87]

  • Bull GCOS 8[88]

  • NEC ACOS-4[89] (in late September 2012, NEC announced a return from IA-64 to the previous NOAH line of proprietary mainframe processors for ACOS-4.[90])

Microsoft announced that Windows Server 2008 R2 would be the last version of Windows Server to support the Itanium (support started with XP), and that it would also discontinue development of the Itanium versions of Visual Studio and SQL Server.[91] Likewise, Red Hat Enterprise Linux 5 (first released in March 2007) was the last Itanium edition of Red Hat Enterprise Linux[92] and Debian no longer supports Itanium officially (last release with official support was Debian 7 / Wheezy, and was not covered by Long Term Support (LTS), but unofficial support for newer Debian is still available in limited form via Debian Ports; last available kernel in wheezy-backports is Linux 3.14.0, which was released on March 30, 2014, but it is possible to manually update to latest 3.14.x version, or more recent kernel versions, like 4.17.17 as of September 2018) and in addition Canonical Ltd. chose to not support Itanium for Ubuntu 10.04 LTS (released in April 2010, now discontinued).[93] HP will not be supporting or certifying Linux on Itanium 9300 (Tukwila) servers.[94]

In late September 2012, NEC announced a return from IA-64 to the previous NOAH line of proprietary mainframe processors, now produced in a quad-core variant on 40 nm, called NOAH-6.[95]

HP sells a virtualization technology for Itanium called Integrity Virtual Machines.

To allow more software to run on the Itanium, Intel supported the development of compilers optimized for the platform, especially its own suite of compilers.[96][97] Starting in November 2010, with the introduction of new product suites, the Intel Itanium Compilers were no longer bundled with the Intel x86 compilers in a single product. Intel offers Itanium tools and Intel x86 tools, including compilers, independently in different product bundles. GCC,[98][99] Open64 and Microsoft Visual Studio 2005 (and later)[100] are also able to produce machine code for Itanium. According to the Itanium Solutions Alliance over 13,000 applications were available for Itanium-based systems in early 2008,[101] though Sun has contested Itanium application counts in the past.[102] The ISA also supported Gelato, an Itanium HPC user group and developer community that ported and supported open-source software for Itanium.[103]

Emulation

Emulation is a technique that allows a computer to execute binary code that was compiled for a different type of computer. Before IBM's acquisition of QuickTransit in 2009, application binary software for IRIX/MIPS and Solaris/SPARC could run via type of emulation called "dynamic binary translation" on Linux/Itanium. Similarly, HP implemented a method to execute PA-RISC/HP-UX on the Itanium/HP-UX via emulation, to simplify migration of its PA-RISC customers to the radically different Itanium instruction set. Itanium processors can also run the mainframe environment GCOS from Groupe Bull and several x86 operating systems via instruction set simulators.

Competition

Area chart showing the representation of different families of micro-processors in the TOP500 ranking list of supercomputer (1993–2015)

Area chart showing the representation of different families of micro-processors in the TOP500 ranking list of supercomputer (1993–2015)

Itanium is aimed at the enterprise server and high-performance computing (HPC) markets. Other enterprise- and HPC-focused processor lines include Oracle's and Fujitsu's SPARC processors and IBM's POWER microprocessors. Measured by quantity sold, Itanium's most serious competition comes from x86-64 processors including Intel's own Xeon line and AMD's Opteron line. Since 2009, most servers were being shipped with x86-64 processors.[66]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage has declined as the industry shifted to x86-64 clusters for this application.[104]

An October 2008 Gartner report on the Tukwila processor, stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[105]

Supercomputers and high-performance computing

An Itanium-based computer first appeared on the list of the TOP500 supercomputers in November 2001.[24] The best position ever achieved by an Itanium 2 based system in the list was #2, achieved in June 2004, when Thunder (Lawrence Livermore National Laboratory) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at #2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[106] and no Itanium system remained on the list in November 2012.

Processors

Released processors

Itanium 2 mx2 'Hondo' (Top)

Itanium 2 mx2 'Hondo' (Top)

Itanium 2 mx2 'Hondo' (Bottom)

Itanium 2 mx2 'Hondo' (Bottom)

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

CodenameprocessReleasedClockL2 Cache/
core
L3 Cache/
processor
Busdies/
dev.
cores/
die
watts/
dev.
Comments
Itanium
Merced180 nm2001-06733 MHz096 KBnone266 MHz01011162 MB off-die L3 cache
800 MHz1304 MB off-die L3 cache
Itanium 2
McKinley180 nm2002-07-08900 MHz256 KB01.5 MB400 MHz0101130HW branchlong
1 GHz03 MB130
Madison130 nm2003-06-301.3 GHz03 MB130
1.4 GHz04 MB130
1.5 GHz06 MB130
2003-09-081.4 GHz01.5 MB130
2004-041.4 GHz03 MB130
1.6 GHz
Deerfield2003-09-081.0 GHz01.5 MB062Low voltage
Hondo[107]2004-Q11.1 GHz04 MB400 MHz020126032 MB L4
Fanwood2004-11-081.6 GHz03 MB533 MHz0101130
1.3 GHz400 MHz062?Low voltage
Madison2004-11-081.6 GHz09 MB400 MHz130
2005-07-051.67 GHz06 MB667 MHz130
2005-07-181.67 GHz09 MB667 MHz130
Itanium 2 9000 series
Montecito090 nm2006-07-181.4 GHz256 KB (D)+
1 MB (I)
06–24 MB400 MHz0102104Virtualization, Multithread, no HW IA-32
1.6 GHz533 MHz
Itanium 2 9100 series
Montvale090 nm2007-10-311.42–
1.66 GHz
256 KB (D)+
1 MB (I)
08–24 MB400–
667 MHz
0101–2075–104Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila065 nm2010-02-081.33–
1.73 GHz
256 KB (D)+
512 KB (I)
10–24 MBQPI with
4.8 GT/s
0102–4130–185A new point-to-point processor interconnect, the QPI,
replacing the FSB. Turbo Boost
Itanium 9500 series
Poulson032 nm2012-11-08
[108]
1.73–
2.53 GHz
256 KB (D)+
512 KB (I)
20–32 MBQPI with
6.4 GT/s
0104–8130–170Doubled issue width (from 6 to 12 instructions per cycle),
Instruction Replay technology, Dual-domain hyperthreading[109][110][111]
Itanium 9700 series
Kittson032 nm2017-05-11
[3]
1.73–
2.66 GHz
256 KB (D)+
512 KB (I)
20–32 MBQPI with
6.4 GT/s
0104–8130–170No architectural improvements over Poulson,
5 % higher clock for the top model
CodenameprocessReleasedClockL2 Cache/
core
L3 Cache/
processor
Busdies/
dev.
cores/
die
watts/
dev.
Comments

Market reception

High-end server market

HP zx6000 system board with dual Itanium 2 processors

HP zx6000 system board with dual Itanium 2 processors

Itanium 2 in 2003

Itanium 2 in 2003

When first released in 2001, Itanium's performance was disappointing compared to better-established RISC and CISC processors.[7][8] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[112] Itanium failed to make significant inroads against IA-32 or RISC, and suffered further following the arrival of x86-64 systems which offered greater compatibility with older x86 applications.

In a 2009 article on the history of the processor — "How the Itanium Killed the Computer Industry" — journalist John C. Dvorak reported "This continues to be one of the great fiascos of the last 50 years".[113] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry".[65] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[114]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[91][92] however, other Linux distributions such as Gentoo and Debian remain available for Itanium. On March 22, 2011, Oracle Corporation announced that it would no longer develop new products for HP-UX on Itanium, although it would continue to provide support for existing products.[115] Following this announcement, HP sued Oracle for breach of contract, arguing that Oracle had violated conditions imposed during settlement over Oracle's hiring of former HP CEO Mark Hurd as its co-CEO, requiring the vendor to support Itanium on its software "until such time as HP discontinues the sales of its Itanium-based servers",[116] and that the breach had harmed its business. In 2012, a court ruled in favor of HP, and ordered Oracle to resume its support for Itanium. In June 2016, Hewlett-Packard Enterprise (the corporate successor to HP's server business) was awarded $3 billion in damages from the lawsuit.[117][118]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[119] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[65] making the main operating system for Itanium HP-UX. On March 22, 2011, Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[120]

Other markets

HP zx6000, an Itanium 2-based Unix workstation

HP zx6000, an Itanium 2-based Unix workstation

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[121]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[122] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[65] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

Timeline

1989

  • HP begins investigating EPIC.[6]

1994

  • June: HP and Intel announce partnership.[123]

1995

  • September: HP, Novell, and SCO announce plans for a "high volume UNIX operating system" to deliver "64-bit networked computing on the HP/Intel architecture".[124]

1996

  • October: Compaq announces it will use IA-64.[125]

1997

  • June: IDC predicts IA-64 systems sales will reach $38bn/yr by 2001.[21]

  • October: Dell announces it will use IA-64.[126]

  • December: Intel and Sun announce joint effort to port Solaris to IA-64.[10][11][12]

1998

  • March: SCO admits HP/SCO Unix alliance is now dead.

  • June: IDC predicts IA-64 systems sales will reach $30bn/yr by 2001.[21]

  • June: Intel announces Merced will be delayed, from second half of 1999 to first half of 2000.[127]

  • September: IBM announces it will build Merced-based machines.[128]

  • October: Project Monterey is formed to create a common UNIX for IA-64.

1999

  • February: Project Trillian is formed to port Linux to IA-64.

  • August: IDC predicts IA-64 systems sales will reach $25bn/yr by 2002.[21]

  • October: Intel announces the Itanium name.

  • October: the term Itanic is first used in The Register.[17]

2000

  • February: Project Trillian delivers source code.

  • June: IDC predicts Itanium systems sales will reach $25bn/yr by 2003.[21]

  • July: Sun and Intel drop Solaris-on-Itanium plans.[129]

  • August: AMD releases specification for x86-64, a set of 64-bit extensions to Intel's own x86 architecture intended to compete with IA-64. It will eventually market this under the name "AMD64".

2001

  • June: IDC predicts Itanium systems sales will reach $15bn/yr by 2004.[21]

  • June: Project Monterey dies.

  • July: Itanium is released.

  • October: IDC predicts Itanium systems sales will reach $12bn/yr by the end of 2004.[21]

  • November: IBM's 320-processor Titan NOW Cluster at National Center for Supercomputing Applications is listed on the TOP500 list at position #34.[24]

  • November: Compaq delays Itanium Product release due to problems with processor.[130]

  • December: Gelato is formed.

2002

  • March: IDC predicts Itanium systems sales will reach $5bn/yr by end 2004.[21]

  • June: Itanium 2 is released.

2003

  • April: IDC predicts Itanium systems sales will reach $9bn/yr by end 2007.[21]

  • April: AMD releases Opteron, the first processor with x86-64 extensions.

  • June: Intel releases the "Madison" Itanium 2.

2004

  • February: Intel announces it has been working on its own x86-64 implementation (which it will eventually market under the name "Intel 64").

  • June: Intel releases its first processor with x86-64 extensions, a Xeon processor codenamed "Nocona".

  • June: Thunder, a system at LLNL with 4096 Itanium 2 processors, is listed on the TOP500 list at position #2.[131]

  • November: Columbia, an SGI Altix 3700 with 10160 Itanium 2 processors at NASA Ames Research Center, is listed on the TOP500 list at position #2.[132]

  • December: Itanium system sales for 2004 reach $1.4bn.

2005

  • January: HP ports OpenVMS to Itanium[133]

  • February: IBM server design drops Itanium support.[71][134]

  • June: An Itanium 2 sets a record SPECfp2000 result of 2,801 in a Hitachi, Ltd. Computing blade.[135]

  • September: Itanium Solutions Alliance is formed.[136]

  • September: Dell exits the Itanium business.[137]

  • October: Itanium server sales reach $619M/quarter in the third quarter.

  • October: Intel announces one-year delays for Montecito, Montvale, and Tukwila.[28]

2006

  • January: Itanium Solutions Alliance announces a $10bn collective investment in Itanium by 2010.

  • February: IDC predicts Itanium systems sales will reach $6.6bn/yr by 2009.[22]

  • June: Intel releases the dual-core "Montecito" Itanium 2 9000 series.[138]

2007

  • April: CentOS (RHEL-clone) places Itanium support on hold for the 5.0 release.[139]

  • October: Intel releases the "Montvale" Itanium 2 9100 series.

  • November: Intel renames the family from Itanium 2 back to Itanium.

2009

  • December: Red Hat announces that it is dropping support for Itanium in the next release of its enterprise OS, Red Hat Enterprise Linux 6.[140]

2010

  • February: Intel announces the "Tukwila" Itanium 9300 series.[34]

  • April: Microsoft announces phase-out of support for Itanium.[141]

  • October: Intel announces new releases of Intel C++ Compiler and Intel Fortran Compiler for x86/x64, while Itanium support is only available in older versions.[142]

2011

  • March: Oracle Corporation announces that it will stop developing application software, middleware, and Oracle Linux for the Itanium.[115]

  • March: Intel and HP reiterate their support of Itanium.[143][144]

  • April: Huawei and Inspur announce that they will develop Itanium servers.[145]

2012

  • February: Court papers were released from a case between HP and Oracle Corporation that gave insight to the fact that HP was paying Intel $690 million to keep Itanium on life support.[146]

  • SAP discontinues support for Business Objects on Itanium.[147]

  • September: In response to a court ruling, Oracle reinstitutes support for Oracle software on Itanium hardware.[148]

2013

  • January: Intel cancels Kittson as a 22 nm shrink of Poulson, moving it instead to its 32 nm process.[54]

  • November: HP announces that its NonStop servers will start using Intel 64 (x86-64) chips.[149]

2014

  • December: HP announces that their next generation of Superdome X and Nonstop X servers would be equipped with Intel Xeon processors, and not Itanium. While HP continues to sell and offer support for the Itanium-based Integrity portfolio, the introduction of a model based entirely on Xeon chips marks the end of an era.[150]

2017

  • February: Intel ships test versions of Kittson, the first new Itanium chip since 2012.[59]

  • May: Kittson formally ships in volume as the Itanium 9700 series. Intel states that Kittson is the final Itanium generation.[4]

2019

  • January: Intel announces Itanium's end of life with additional orders accepted until January 2020 and last shipments no later than July 2021.[1]

See also

  • List of Intel Itanium microprocessors

  • Advanced load address table

References

[1]
Citation Linkqdms.intel.com"Select Intel Itanium Processors and Intel Scalable Memory Buffer, PCN 116733-00, Product Discontinuance, End of Life" (PDF). Intel. January 30, 2019.
Sep 26, 2019, 9:28 PM
[2]
Citation Linkwww.itjungle.comMorgan, Timothy (May 27, 2008). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1". IT Jungle. Archived from the original on March 3, 2016. Retrieved October 29, 2008.
Sep 26, 2019, 9:28 PM
[3]
Citation Linkwww.pcworld.comShah, Agam (May 11, 2017). "Intel's Itanium, once destined to replace x86 processors in PCs, hits end of line". PCWorld. Retrieved May 11, 2017.
Sep 26, 2019, 9:28 PM
[4]
Citation Linkitpeernetwork.intel.comDavis, Lisa M. (May 11, 2017). "The Evolution of Mission Critical Computing". Intel. Retrieved May 11, 2017. ...the 9700 series will be the last Intel Itanium processor.
Sep 26, 2019, 9:28 PM
[5]
Citation Linkwww.pcworld.com"Intel's Itanium, once destined to replace x86 processors in PCs, hits end of line". PCWorld. Retrieved May 15, 2017.
Sep 26, 2019, 9:28 PM
[6]
Citation Linkwww.hpl.hp.com"Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. June 2001. Retrieved March 23, 2007.
Sep 26, 2019, 9:28 PM
[7]
Citation Linkwww.anandtech.comDe Gelas, Johan (November 9, 2005). "Itanium–Is there light at the end of the tunnel?". AnandTech. Retrieved March 23, 2007.
Sep 26, 2019, 9:28 PM
[8]
Citation Linkventurebeat.comTakahashi, Dean (May 8, 2009). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. Retrieved May 17, 2009.
Sep 26, 2019, 9:28 PM
[9]
Citation Linkwww.zdnet.com"Itanium: A cautionary tale". Tech News on ZDNet. December 7, 2005. Retrieved January 1, 2019.
Sep 26, 2019, 9:28 PM
[10]
Citation Linkwww.linuxtoday.comVijayan, Jaikumar (July 16, 1999). "ComputerWorld: Solaris for IA-64 coming this fall". Linuxtoday. Retrieved October 16, 2008.
Sep 26, 2019, 9:28 PM
[11]
Citation Linkwww.eetimes.comWolfe, Alexander (September 2, 1999). "Core-logic efforts under way for Merced". EE Times. Retrieved February 27, 2016.
Sep 26, 2019, 9:28 PM
[12]
Citation Linkwww.thefreelibrary.com"Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. March 10, 1998. Retrieved June 6, 2016. ...developers can quickly develop applications today that will be compatible with and can easily be tuned for Solaris on Merced.
Sep 26, 2019, 9:28 PM
[13]
Citation Linkwww.cnet.comShankland, Stephen (January 2, 2002). "Next-generation chip passes key milestone". CNET News. Retrieved November 1, 2007.
Sep 26, 2019, 9:28 PM
[14]
Citation Linkwww.cnet.comShankland, Stephen (July 8, 1999). "Intel's Merced chip may slip further". CNET News. Retrieved October 16, 2008.
Sep 26, 2019, 9:28 PM
[15]
Citation Linkwww.cnet.comKanellos, Michael (October 4, 1999). "Intel names Merced chip Itanium". CNET News. Retrieved April 30, 2007.
Sep 26, 2019, 9:28 PM
[16]
Citation Linkgroups.google.comFinstad, Kraig (October 4, 1999). "Re:Itanium". USENET group comp.sys.mac.advocacy. Retrieved March 24, 2007.
Sep 26, 2019, 9:28 PM
[17]
Citation Linkwww.theregister.co.ukPete Sherriff (October 28, 1999). "AMD vs Intel – our readers write". The Register. Retrieved April 6, 2010.
Sep 26, 2019, 9:28 PM
[18]
Citation Linkwww.zdnet.comBerlind, David (November 30, 2001). "Interpreting McNealy's lexicon". ZDNet Tech Update. Retrieved March 19, 2007.
Sep 26, 2019, 9:28 PM
[19]
Citation Linkwww.theinquirer.netDemerjian, Charlie (July 18, 2006). "Itanic shell game continues". The Inquirer. Retrieved February 27, 2016.
Sep 26, 2019, 9:28 PM
[20]
Citation Linkwww.nytimes.comMorgenson, Gretchen (October 19, 2003). "Fawning Analysts Betray Investors". The New York Times. Retrieved January 1, 2019.
Sep 26, 2019, 9:28 PM